乾法刻蝕與濺射模組

Poly Etcher 電感耦合等離子多晶硅刻蝕機(DRY-Poly)​
潔凈/半潔凈
Poly Etcher (DRY-Poly)
Specifications
Remark : For Semi-Clean process, please contact NFF (CWB) technicians.
Gases available : HBr, Cl2, O2, N2, He & Ar
RF power source : 1x 1000W(max) at 13.56MHz for Coil electrode,
1x 300W(max)  at 13.56MHz for Platen electrode
Electrode coolant system : 20 oC
High speed turbo molecular pump : pumping speed of 1000 L/s at 36000 rpm
Fully automatic loadlock transfer system
Substrate size : 4” single wafer
Polysilicon etch
Minimum line/space : 0.5 µm
Low rate polysilicon etch E/R : ~ 900 Ȧ/min
Selectivity to oxide : 13:1
Selectivity to photoresist : 12.5:1
Uniformity : 5%
Normal rate polysilicon etch
E/R : >1800 Ȧ/min
Selectivity to photoresist : 2.5:1
Uniformity : 5%
 
GaN Etcher 電感耦合等離子氮化鎵刻蝕機(DRY-GaN)
非常規
GaN Etcher(DRY-GaN)
Specifications
Gases available : BCl3, Cl2, CH4, SF6, O2, He & Ar
RF power source : 1x 1000W(max) at 13.56MHz for Coil electrode,
1x 300W(max) at 13.56MHz for Platen electrode
Electrode coolant system : 5 to 30 oC
High speed turbo molecular pump : pumping speed of 1000 L/s at 36000 rpm
Fully automatic loadlock transfer system
Substrate size : 2", 4" or 6” single wafer or specimens
GaN etch
E/R : ~5000 Ȧ/min
Selectivity to oxide : 10:1
Sapphire etch
E/R : ~700 Ȧ/min
Selectivity to oxide : 1.5:1
 
DRIE Etcher #1 電感耦合等離子深硅刻蝕機(DRY-Si-1)
潔凈
DRIE Etcher #1 (DRY-Si-1)
Specifications
Gases available : C4F8, SF6, O2, N2, He & Ar
RF power source : 1x 1000W(max) at 13.56MHz for Coil electrode,
1x 300W(max) at 13.56MHz for Platen electrode
Electrode coolant system : 5 to 30 oC
High speed turbo molecular pump : pumping speed of 1000 L/s at 36000 rpm
Fully automatic loadlock transfer system
Substrate size : 4" wafer
Silicon etch
Minimum Line/Space : 0.5 µm
Low Rate Silicon Etch E/R : From 500 Ȧ/cycle
Normal Rate Silicon Etch E/R : Up to 2 µm/min
Selectivity to Photoresist : >50:1
Selectivity to Oxide : >80:1
Uniformity : 7%
 
DRIE Etcher #2 電感耦合等離子深硅刻蝕機 (DRY-Si-2)
潔凈/半潔凈
DRIE Etcher #2 (DRY-Si-2)
Specifications
Gases available : C4F8, SF6, O2, N2, He & Ar
RF power source : 1x 3600W(max) at 13.56MHz for Primary Source
1x 3000W(max) at 13.56MHz for Secondary Source
1x 200W(max) at 13.56MHz for Platen electrode
1x 200W(max) at 300-500kHz for Platen electrode
Electrode coolant system : -20 to 40 oC
High speed turbo molecular pump : pumping speed of 2350 L/s at 25000 rpm
Fully automatic loadlock transfer system
Substrate size : 4" wafer
Silicon etch
Minimum Line/Space : 0.5 µm
Aspect Ratio : Up to 60:1
Low Rate Silicon Etch E/R : From 0.7µm/min (700 Ȧ/Loop)
Normal Rate Silicon Etch E/R : 2 µm/min
Fast Rate Silicon Etch E/R : 18 µm/min
Selectivity to Photoresist : From 12:1 to 250:1
Selectivity to Oxide : From 24:1 to 500:1
Uniformity : <5%
 
DRIE Etcher #3 電感耦合等離子深硅刻蝕機 (DRY-Si-3)
非常規
DRIE Etcher #3 (DRY-Si-3)
Specifications
Gases available : C4F8, SF6, O2, N2, He & Ar
RF power source : 1 x 1000W(max) at 13.56MHz for Coil electrode,
1 x 300W(max) at 13.56MHz for Platen electrode
Electrode coolant system : 20 oC
High speed turbo molecular pump : pumping speed of 1000 L/s at 36000 rpm
Fully automatic loadlock transfer system
Substrate size : 4" wafer
Silicon etch
Minimum Line/Space : 1 µm
Low Rate Silicon Etch E/R : 1 µm/min
Normal Rate Silicon Etch E/R : Up to 2 µm/min
Selectivity to Photoresist : >50:1
Selectivity to Oxide : >100:1
Uniformity : 7%
 
AOE Etcher 電感耦合等離子氧化物刻蝕機(DRY-AOE)
潔凈
AOE Etcher (DRY-AOE)
Specifications
Gases available : C4F8, CF4, CHF3, O2, N2, H2, He & Ar
RF power source : 1x 3000W(max) at 13.56MHz for Coil electrode,
1x 600W(max) at 13.56MHz for Platen electrode
Electrode coolant system : -5 to 30 oC
High speed turbo molecular pump : pumping speed of 2000 L/s at 48000 rpm
Fully automatic loadlock transfer system
Substrate size : 4" single silicon or quartz wafer
Silicon Oxide etch
Minimum Line/Space : 0.5 µm
Silicon Oxide Etch E/R : AOE_PRD = 2300 Ȧ/min; AOE_PRB = 3000 Ȧ/min
Selectivity to Photoresist : >4:1
Selectivity to Polysilicon : >15:1
Uniformity : 7.5%
 
PS210 Asher 光刻膠刻蝕機(DRY-PR-1)
潔凈
PS210 Asher (DRY-PR-1)
Specifications
Gases available : O2 & N2
Microwave power source : 2.45 GHz
Substrate size : 4" wafers
 
IPC 3000 Asher #1 and #2 光刻膠刻蝕機(DRY-PR-2至DRY-PR-3)
半潔凈/非常規
IPC 3000 Asher #1 and #2 (DRY-PR-2 To DRY-PR-3)
Specifications
Gases available : O2 & N2
RF power source : 400W(max) at 13.56MHz
Substrate size : 2", 4"and 6" wafers or specimens
 
IPC 3000 Asher #3 光刻膠刻蝕機(Dry-PR-4)
非常規
IPC 3000 Asher #3 (Dry-PR-4)
Specifications
Gases available : O2 & N2
RF power source : 400W(max) at 13.56MHz
Substrate size : 2", 4" and 6" wafers or specimens
 
Oxford RIE Etcher 反應離子刻蝕機(DRY-RIE-1)
非常規
Oxford RIE Etcher (DRY-RIE-1)
Specifications
Gases available : CHF3, SF6, O2, CF4, Ar, N2, He & H2
RF power source : 500W at 13.56MHz
Huber electrode coolant system : -40 to 200 oC
Substrate size : 4", up to 3 wafers per run or specimen
Silicon Dioxide Etch
E/R : ~363 Ȧ/min for LTO
E/R : ~352 Ȧ/min for thermal oxide
Selectivity to photoresist : 2.6:1
Selectivity to Silicon Nitride : 0.8:1
Selectivity to Silicon : 6.7:1
Uniformity : 3.6%
Silicon Nitride Etch
E/R : ~810 Ȧ/min
Selectivity to photoresist : 1.5:1
Selectivity to Silicon Dioxide : 2.1:1
Selectivity to Silicon : 5.4:1
Uniformity : 5.8%
 
AST Metal Etcher 刻蝕機 (DRY-Metal-1
半潔凈
AST Metal Etcher (DRY-Metal-1)
Specifications
Chlorine & Fluorine based barrel type system for etching aluminum
Gases available : Cl2, BCl3, CF4, CHF3, He, Ar, O2 & N2
RF power source : 1000W(max) at 13.56MHz
Bias power source : 1000W(max) at 13.56MHz
Substrate size : 4" wafers
Aluminum Etch
Al etch rate : 1700 Ȧ/min
PR etch rate : 1000 Ȧ/min
SiOx etch rate : 300 Ȧ/min
 
XeF2 Silicon Etcher 硅刻蝕機(DRY-XeF2)
半潔凈
XeF2 Silicon Etcher (DRY-XeF2)
Specifications
Gases available : XeF2
Substrate size : 2", 4" single wafer or specimens
Silicon Etch Rate
Bright field mask : 600 Ȧ/cycle
Dark field mask : 6000 Ȧ/cycle
Mask used : Oxide
 
Trion RIE Etcher 電感耦合等離子/反應離子刻蝕機(DRY-Trion)
半潔凈
Trion RIE Etcher (DRY-Trion)
Specifications
Gases available : CHF3, SF6, O2, CF4, Ar, N2, He and H2
ICP power source : 600W (max) at 13.56MHz
RF power source : 600W (max) at 13.56MHz
Electrode coolant system : 0 to 30 oC
Substrate size : 4", up to 3 wafers per run or specimens
Silicon Dioxide Etch : ~500 Ȧ/min
Silicon Nitride Etch : ~850 Ȧ/min
 
Oxford Aluminum Etcher 鋁刻蝕機 (DRY-Metal-2)
半潔凈
Oxford Aluminum Etcher (DRY-Metal-2)
Specifications
Gases available : Cl2, BCl3, HBr, CF4, SF6, O2 and Ar
RF power source : 1 x 3000W (max) at 13.56MHz for coil electrode
: 1 x 300W (max) at 13.56MHz for platen electrode
Electrode coolant system : 5 to 60 oC
High speed turbo molecular pump : pumping speed of 1250 L/s at 37800 rpm
Fully automatic loadlock transfer system
Substrate size : 4" wafer
Aluminum / Aluminum-Si etch
Minimum line / space : 0.5 µm
High rate Aluminum etch E/R : 3000 Ȧ/min
Normal rate Aluminum etch E/R : 1800 Ȧ/min
Selectivity to Photoresist : > 2:1
Selectivity to Oxide : > 10:1
Uniformity : 5% (etch from edge to center)
 
NFF RIE Etcher 反應離子刻蝕機 (DRY-RIE-2)
潔凈/半潔凈
NFF RIE Etcher (DRY-RIE-2)
Specifications
Gases available : CF4, CHF3, SF6 and O2
RF power : 120W
Chiller temperature : 20 oC
Fully automatic loadlock transfer system
Substrate size : 4" wafer
Nitride etch rate : ~500 Ȧ/min
Oxide etch rate : ~460 Ȧ/min
Si etch rate : ~50 to 470 Ȧ/min
Photoresist etch rate : ~151 to 400 Ȧ/min
 
Oxford GaN-InP Etcher 電感耦合等離子氮化鎵 / 磷化銦刻蝕機 (DRY-GaN-2)
半潔凈
Oxford GaN-InP Etcher (DRY-GaN-2)
Specifications
Gases available : Cl2, BCl3, CH4, H2, N2, SF6, O2, He and Ar
RF power source : 1 x 3000W (max) at 13.56MHz for coil electrode
: 1 x 600W (max) at 13.56MHz for platen electrode
Electrode coolant system : -10 to 200 oC
High speed turbo molecular pump : pumping speed of 1280 L/s at 39000 rpm
Fully automatic loadlock transfer system
Substrate size : 2", 4" or 6" single full wafer
GaN Etch
E/R: : ~6000 Ȧ/min
Selectivity to Oxide : 9:1
InP Etch
E/R: : ~6000 Ȧ/min
Selectivity to Oxide : 10:1
 
Critical Point Dryer 臨界點乾燥儀 (CPD-1)
半潔凈
Critical Point Dryer (CPD-1)
Specifications
Tousmis Automegasamdri®-915B, Series B Critical Point Dryer
• Automatic Supercritical Point Dryer
• All internal surfaces are inert to CO2 and ultra pure alcohols
• 0.08µm internal filtration system delivers clean filtered LCO2 to process chamber
• Small samples up to 6" wafer
• Up to five wafers per single process
 
Diener Plasma Cleaner 等離子清洗機 (DRY-Cleaner) (只供做微流體工藝的用戶使用)
非常規
Diener Plasma Cleaner (DRY-Cleaner)
Specifications
• Gases available: air
• RF power source: 50 W (max) at 13.56 MHz
• Substrate size: 2", 4" or specimens
 
Varian 3180 Sputter 濺射系統(SPT-3180)
半潔凈
Varian 3180 Sputter (SPT-3180)
Specifications
Gases available : Ar & N2
DC sputtering power source : 3 x 12KW
RF back sputtering power source : 600W at 13.56MHz
Fully automatic wafer handling system
Substrate size : 4" wafer
Chamber pressure : 5 x 10-7 torr
Target available : Mo, Pure Al, Al-Si & Ti (Installation of target might be needed. For details, please contact NFF (CWB) staff.)
Sputtering rate ( Ȧ/sec)
~160 Ȧ/sec for pure Al
~180 Ȧ/sec for Al/Si (1%)
~40 Ȧ/sec for Ti
~160 Ȧ/sec for Mo
No Photoresist on wafer is allowed
 
CVC-601 Sputter 濺射系統(SPT-CVC)
非常規
CVC-601 Sputter (SPT-CVC)
Specifications
Gases available : Ar & N2
DC sputtering power source : 1 x 3 KW
RF sputtering power source : 1200W at 13.56MHz
Chamber pressure : 5 x 10-7 torr
Substrate size : 2", 4"& 6" wafers or 4" square glass substrate
Target available : Cu, TiW & Au
Target available on request : Ti, Cr & Al-Si
Sputtering rate (Ȧ/min):
~500 Ȧ/min for Al-Si
~175 Ȧ/min for TiW
~160 Ȧ/min for Au
~1000 Ȧ/min for Cu
No Photoresist on wafer is allowed
 
ARC-12M Sputter 濺射系統(SPT-ARC)
非常規
ARC-12M Sputter (SPT-ARC)
Specifications
Gases available : Ar, O2 & N2
DC sputtering power source : 2 x 250W
RF sputtering power source : 600W at 13.56MHz
Chamber pressure : 1.5 x 10-5 torr
Substrate size : 2", 4" wafer or square glass, or specimens
Target available : Ag, Al, Al/Si (1%), Au, Cu, Cr, Hf, Mo, Pt, Ti, TiW, Ni
 
 
NFF-CY1 Sputterer 濺射系統 (SPT-CY1)
半潔凈
NFF-CY1 Sputterer (SPT-CY1)
Specifications
Gases available : Ar, O2 & N2
DC sputtering power source : 1 x 500W
RF sputtering power source : 1 x 500W (Currently unavailable)
Chamber pressure : 5 x 10-6 torr
Substrate size : 2", 4" wafer or square glass, or specimens
Target available : Al, Ti, Cr, Al-Si, Ni, Mo, dielectric targets (such as TiN, TaN will be available when RF gun is ready)
 
Cooke Evaporator #1 電子束蒸發系統(SPT-EV1
半潔凈(SPT-EV1))
Cooke Evaporator #1 and #2 (SPT-EV1 to SPT-EV2)
Specifications
Gases available : N2
E-beam power source : 1x 3KW
Chamber pressure : 8 x 10-7 torr
Substrate size : 2" or 4" wafers
Source available (in Phase II) : Al, Ni, Mo, Ti, Cr
No Photoresist on wafer is allowed for the Cook Evaporation System
 
Cooke Evaporator #2 電子束蒸發系統(SPT-EV2
非常規(SPT-EV2)
Cooke Evaporator #1 and #2 (SPT-EV1 to SPT-EV2)
Specifications
Gases available : N2
E-beam power source : 1x 3KW
Chamber pressure : 8 x 10-7 torr
Substrate size : 2" or 4" wafers
Source available in Phase III : Al, Ni, Ti, Au, Cr, Pt, Fe, SiO2, Ag
No Photoresist on wafer is allowed for the Cook Evaporation System
 
AST 600EI Evaporator 電子束蒸發系統(SPT-AST600)
非常規
AST 600EI Evaporator (SPT-AST600)
Specifications
Gases available : O2 and N2
E-beam power source : 2 x 6KW
Chamber pressure : 9 x 10-8 torr
Substrate size : 2" and 4" wafers or specimens
Source available : Al, Au, Ti, Ni, Cr, Ge, Pt, Ag, ITO
 
AST 450I Evaporator 電子束蒸發系統(SPT-AST450)
非常規
AST 450I Evaporator (SPT-AST450)
Specifications
Gases available : N2
DC sputtering power source : 1 x 3KW
Chamber pressure : 9 x 10-8 torr
Substrate size : 2” wafer or specimens
Source available : Al, Ti, Au & Ni
 
Edward Sputter (Au) for SEM 鍍膜機
非常規
Edward Sputter (Au) for SEM
Specifications
Gases available : Ar
RF power source : 100W at 13.56 MHz
Chamber pressure : 1 x 10-3 torr
Substrate size : 2" and 4" wafers or square glass, or specimens
Target available : Au (For SEM only)
 
NSC3000 Sputter 濺射系統(SPT-NSC3000) 
半潔凈
NSC3000 Sputter (SPT-NSC3000)
Specifications
Gases available : O2, N2 & Ar
DC sputtering power source : 1 x 1KW
Chamber pressure : 5 x 10-6 torr
Substrate size : 2", 4" wafer or square glass, or specimens
Target available : Al, Ti, Cr, Al-Si, Ni & Mo